BOOM

The Berkeley Out-of-Order Machine (BOOM) is a synthesizable and parameterizable open-source RV64GC RISC-V core written in the Chisel hardware construction language. While BOOM is primarily ASIC optimized, it is also usable on FPGAs. We support the FireSim flow to run BOOM at 90+ MHz on FPGAs on Amazon EC2 F1. Its focus is to create a high performance, synthesizable, and parameterizable core for architecture research.

For more information on BOOM, please refer to the following resources: